aboutsummaryrefslogtreecommitdiffstats
path: root/src
diff options
context:
space:
mode:
authorPaul Eggert <[email protected]>2011-03-16 22:18:33 -0700
committerPaul Eggert <[email protected]>2011-03-16 22:18:33 -0700
commitb766f86726fc2828a035cb8db149598a3a84de96 (patch)
tree6b5d450e3d181a461e2e6f32c2e10bc02a3baa40 /src
parentbe02381c5db4236f51f474726003d5a97bbc61f7 (diff)
* process.c (make_serial_process_unwind, send_process_trap):
(sigchld_handler): Now static.
Diffstat (limited to 'src')
-rw-r--r--src/ChangeLog3
-rw-r--r--src/process.c7
2 files changed, 7 insertions, 3 deletions
diff --git a/src/ChangeLog b/src/ChangeLog
index 7e7556f0e8..2b7d5289e6 100644
--- a/src/ChangeLog
+++ b/src/ChangeLog
@@ -1,5 +1,8 @@
2011-03-17 Paul Eggert <[email protected]>
+ * process.c (make_serial_process_unwind, send_process_trap):
+ (sigchld_handler): Now static.
+
* process.c (allocate_pty): Let PTY_ITERATION declare iteration vars.
That way, the code declares only the vars that it needs.
* s/aix4-2.h (PTY_ITERATION): Declare iteration vars.
diff --git a/src/process.c b/src/process.c
index ab34086715..c9b420ab2a 100644
--- a/src/process.c
+++ b/src/process.c
@@ -2724,7 +2724,8 @@ usage: (serial-process-configure &rest ARGS) */)
}
/* Used by make-serial-process to recover from errors. */
-Lisp_Object make_serial_process_unwind (Lisp_Object proc)
+static Lisp_Object
+make_serial_process_unwind (Lisp_Object proc)
{
if (!PROCESSP (proc))
abort ();
@@ -5476,7 +5477,7 @@ read_process_output (Lisp_Object proc, register int channel)
jmp_buf send_process_frame;
Lisp_Object process_sent_to;
-SIGTYPE
+static SIGTYPE
send_process_trap (int ignore)
{
SIGNAL_THREAD_CHECK (SIGPIPE);
@@ -6385,7 +6386,7 @@ process has been transmitted to the serial port. */)
indirectly; if it does, that is a bug */
#ifdef SIGCHLD
-SIGTYPE
+static SIGTYPE
sigchld_handler (int signo)
{
int old_errno = errno;