aboutsummaryrefslogtreecommitdiffstats
path: root/lisp/progmodes
diff options
context:
space:
mode:
authorDan Nicolaescu <[email protected]>2007-12-08 19:59:24 +0000
committerDan Nicolaescu <[email protected]>2007-12-08 19:59:24 +0000
commit7d49d8e100f78ea9c475501dba4d780dd7bc1f1f (patch)
tree1092258169d98257e536279fe23e1d521d8fa823 /lisp/progmodes
parent62a7c35dbc53e79b828e2f0faffe36337e962114 (diff)
* progmodes/verilog-mode.el (verilog-mode-map): Fix typo.
Diffstat (limited to 'lisp/progmodes')
-rw-r--r--lisp/progmodes/verilog-mode.el2
1 files changed, 1 insertions, 1 deletions
diff --git a/lisp/progmodes/verilog-mode.el b/lisp/progmodes/verilog-mode.el
index 0fbe238d91..94ac97836c 100644
--- a/lisp/progmodes/verilog-mode.el
+++ b/lisp/progmodes/verilog-mode.el
@@ -872,7 +872,7 @@ If set will become buffer local.")
"*Default name of Project for verilog header.
If set will become buffer local.")
-(defvar verilog-mode-map ()
+(defvar verilog-mode-map
(let ((map (make-sparse-keymap)))
(define-key map ";" 'electric-verilog-semi)
(define-key map [(control 59)] 'electric-verilog-semi-with-comment)